Welcome![Sign In][Sign Up]
Location:
Search - verilog lcd

Search list

[Embeded-SCM DevelopLCD控制模組

Description: 可初始化LCD模組的Verilog源碼
Platform: | Size: 349064 | Author: ultra055012 | Hits:

[SourceCodeLCD 1.8寸屏

Description: lcd 1.8寸屏的实现
Platform: | Size: 1474136 | Author: a254591139 | Hits:

[OtherLCD控制器

Description: LCD控制器,verilog源码
Platform: | Size: 439647 | Author: sunrisewu | Hits:

[Embeded-SCM Developverilog实例 100 多个

Description: verilog实例 100 多个-more than 100 examples of Verilog
Platform: | Size: 189440 | Author: 地方 | Hits:

[Otherverilog_lcd

Description: 用Verilog HDL 语言写的在LCD液晶上显示文字的源程序-with Verilog HDL write on the LCD display text of the source
Platform: | Size: 423936 | Author: yhr | Hits:

[VHDL-FPGA-VerilogVerilog_Development_Board_Sources

Description: 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code quite welcome, Now she will also be Verilog source contribution to everyone : eight priority encoder, multipliers, Multi-channel selector, binary to BCD, adder, subtraction device, the simple state machine, four comparators, 7 of the digital control, i2c bus, lcd LCD allocated code switches, serial port, the buzzer sounded, matrix keyboards, Bomadeng. Traffic lights, digital clock
Platform: | Size: 3151872 | Author: Jawen | Hits:

[SCMLCD_AV

Description: 这是用Verilog语言编写AV型LCD屏的驱动程序CPLD上运行并调试成功的。可用作数字到模拟LCD转换-Verilog language AV-screen LCD driver CPLD debugging and running successful. Can be used to simulate LCD digital conversion
Platform: | Size: 1024 | Author: | Hits:

[VHDL-FPGA-Veriloglcd4quartus

Description: 128×64单色点阵LCD的quartus工程文件-128 x 64 monochrome dot-matrix LCD quartus works documents
Platform: | Size: 703488 | Author: HYP | Hits:

[VHDL-FPGA-Verilog9.2_LCD_PULSE

Description: 基于Verilog-HDL的硬件电路的实现 9.2 具有LCD显示单元的可编程单脉冲发生器   9.2.1 LCD显示单元的工作原理   9.2.2 显示逻辑设计的思路与流程   9.2.3 LCD显示单元的硬件实现   9.2.4 可编程单脉冲数据的BCD码化   9.2.5 task的使用方法   9.2.6 for循环语句的使用方法   9.2.7 二进制数转换BCD码的硬件实现   9.2.8 可编程单脉冲发生器与显示单元的接口   9.2.9 具有LCD显示单元的可编程单脉冲发生器的硬件实现   9.2.10 编译指令-"文件包含"处理的使用方法 -based on Verilog-HDL hardware Circuit of 9.2 LCD display module with the series Single-Pulse Generator 9.2.1 LCD display module Principle 9.2.2 shows the logic design Thinking and Process 9.2.3 LCD display module hardware 9.2.4 programmable single pulse data BCD of the task 9.2.5 9.2.6 for the use of the phrase cycle use 9.2.7 binary conversion of BCD programmable hardware 9.2.8 single pulse generator with a said unit 9.2.9 interface with the LCD display module programmable pulse generator hardware 9 .2.10 compiler directives- "document includes" the use of
Platform: | Size: 5120 | Author: 宁宁 | Hits:

[VHDL-FPGA-Veriloglcd

Description: 用FPGA来控制2*16LCD的程序,采用VHDL语言来编写,并且我把他转换为verilog语言,有意者请联系;
Platform: | Size: 1024 | Author: 赵雯 | Hits:

[Graph Recognizelcd-code

Description: 比较完整的LCD接口代码,verilog编写,分为6800和8080两种CPU接口,且有完整的仿真程序-Relatively complete LCD interface code, verilog prepared 6800 and 8080 is divided into two types of CPU interfaces, and there is a complete simulation program
Platform: | Size: 1831936 | Author: 李佳 | Hits:

[VHDL-FPGA-VerilogLCD

Description: 基于FPGA的LCD1602驱动,verilog代码,已经调试成功-LCD1602-driven FPGA-based, verilog code debugging has been successful
Platform: | Size: 1104896 | Author: liang ming | Hits:

[VHDL-FPGA-VerilogLCD

Description: 有限状态机的设计——LCD显示控制实验,用VHDL编写程序,整片报告-Finite state machine design- LCD display control experiments, using VHDL programming, the whole report
Platform: | Size: 147456 | Author: alan | Hits:

[VHDL-FPGA-VerilogLCD

Description: lcd verilog hdl 源码 可以直接使用,适用modelsim-lcd verilog HDL source
Platform: | Size: 199680 | Author: xiedongliang | Hits:

[Software Engineeringlcd1602verilog

Description: verilog lcd液晶1602驱动 这个程序的显示字符显示的是ASCII码,显示的数据由DB8输出到LCD上-verilog
Platform: | Size: 12288 | Author: 莫保健 | Hits:

[VHDL-FPGA-Veriloglcd

Description: FPGA控制lcd1602(verilog)-FPGA control lcd1602 (verilog)
Platform: | Size: 1024 | Author: Along | Hits:

[VHDL-FPGA-VerilogLCD

Description: verilog语言编写的LCD读写代码,包括整个工程-read and write languages LCD verilog code, including the entire project
Platform: | Size: 446464 | Author: xuzunlei | Hits:

[VHDL-FPGA-Veriloglcd

Description: SPARTAN 3E 开发板驱动程序 Verilog源码 对于数字电路设计是很好的参考资料-SPARTAN 3E development board driver for digital circuit design, Verilog source code is a good reference
Platform: | Size: 2048 | Author: wang | Hits:

[VHDL-FPGA-Verilog6.5inch-LCD-demo

Description: verilog 驱动LCD显示,带自动循环功能。for driving 6.5inch LCD.
Platform: | Size: 10240 | Author: 孙锋 | Hits:

[VHDL-FPGA-VerilogFPGA-verilog-LCD-display

Description: FPGA实现LCD显示文字,是利用verilog HDL实现的,非常适合初学者,简单易懂。可以用来开发与此类似的功能-FPGA Implementation of LCD display text, is implemented using verilog HDL, is ideal for beginners, easy to understand. Can be used to develop and function like this
Platform: | Size: 421888 | Author: 范范 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 16 »

CodeBus www.codebus.net